library ieee; use ieee.std_logic_1164.all; use std.textio.all; entity TRANSACTOR is port( Y: out std_logic ); end TRANSACTOR; architecture test of TRANSACTOR is begin --insert_file test_code.vhd end test;